CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL 钟

搜索资源列表

  1. maxshiyan

    0下载:
  2. 大学vhdl语言实验大全,基于max-plus2平台,内有8-3译码器,8位加法器,数字钟,数码显示,74ls138,8,4位计数器,d,rs触发器,加法器,交通灯等,此原码基于长江大学可编程器件实验箱,如要运行在其他平台上需要重新定义管脚-University VHDL language experiment Daquan, based on the max-plus2 platform within 8-3 decoder, 8 Adder, digital clock, digital d
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:865899
    • 提供者:田晶昌
  1. clockyzl

    0下载:
  2. vhdl语言,实现数字钟的设计,用component实现-vhdl languages, digital clock design, component achievement
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:1206744
    • 提供者:杨志良
  1. dzzh

    0下载:
  2. eda课程设计:数字钟--vhdl语言全部源代码
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1435
    • 提供者:王伯燕
  1. MyClockTest

    0下载:
  2. 这是我电子线路测试的作业,在FPGA板上实现数字钟,(Max2环境)采用VHDL语言编写,非常适合初学者。具备24小时计时,校时,低高音整点报时,定时和多重功能选择的功能。-This is my test of electronic circuits operating at the FPGA board digital clock (Max2 Environment) using VHDL language, very suitable for beginners. 24-hour time,
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:507269
    • 提供者:blacksun
  1. dig_clk_lcd

    1下载:
  2. 数字钟的实现,由LCD动态显示,VHDL语言实现-the realization by the dynamic display LCD, VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1834791
    • 提供者:jerry
  1. shuzizhong

    0下载:
  2. 介绍了用VHDL设计数字钟的相关知识,是学习VHDL的经典例子.
  3. 所属分类:开发工具

    • 发布日期:2008-10-13
    • 文件大小:31943
    • 提供者:方军
  1. eda

    2下载:
  2. 南京理工大学EDA实验多功能数字钟+闹钟+dds+am调幅。-Nanjing University of EDA test multifunction digital clock+ alarm+ dds+ am AM.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-24
    • 文件大小:8145804
    • 提供者:吴逸汀
  1. vhdl-digital

    1下载:
  2. VHD L数字钟 设计源码 包括 设计思想 设计模块 -VHD L source, including digital clock design design design module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:45043
    • 提供者:马峰凌
  1. VHDL-digital-clock-

    1下载:
  2. VHDL编写的数字钟,采用元件例化的方法,可实现调秒 调分 调时 报时 闹铃的功能 开发板使用的是EP3C16Q240C8-Digital clock written in VHDL, using the example of the way components can be adjusted to achieve sub-second tone when the alarm tone Times feature development board using EP3C16Q240C8
  3. 所属分类:VHDL编程

    • 发布日期:2013-11-04
    • 文件大小:373427
    • 提供者:朱振军
  1. EDA-experiments-based-on-VHDL

    0下载:
  2. 上传的文件包括E有关EDA实验的程序,比如FIFO,秒表,数字钟,七段数码管,状态机检测序列-The files uploaded contain some source code of EDA experiments based on VHDL, such as FIFO, digital clock, stop watch, digital tubes and sequential detector.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:4093
    • 提供者:shi xin
  1. shuzhizhong(vhdl)

    0下载:
  2. 数字钟设计 计时计数器用24进制计时电路; 可手动校时,能分别进行时、分的校正; 整点报时; 选做:可设置闹时功能,当计时计到预定时间时,扬声器发出闹铃信号,闹铃时间为4s,并可提前终止闹铃。-Digital clock design
  3. 所属分类:assembly language

    • 发布日期:2017-10-31
    • 文件大小:725786
    • 提供者:aaaaa
  1. Chess-chess-clock-by-VHDL

    0下载:
  2. 国际象棋棋钟程序。实现棋钟基本功能,及下棋步数计数。-Chess chess clock program. Chess clock to achieve the basic functions, and chess step counting.
  3. 所属分类:Other windows programs

    • 发布日期:2017-11-05
    • 文件大小:3135981
    • 提供者:cxq
  1. VHDL-clock

    0下载:
  2. 用VHDL写的数字钟程序,能够实现显示时分秒,时间可以调节,还能设定闹钟-Written in VHDL,the digital clock procedures can display every minute, the time can be adjusted, but also to set the alarm
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1455270
    • 提供者:Brriot
  1. VHDL-Multi-fuction-Clock

    0下载:
  2. 设计一个多功能数字钟,要求显示格式为小时-分钟-秒钟,整点报时,报时时间为10 秒,即从整点前10 秒钟开始进行报时提示,喇叭开始发声,直到过整点时,在整点前5 秒LED 开始闪烁,过整点后,停止闪烁。系统时钟选择时钟模块的10KHz,要得到1Hz 时钟信号,必须对系统时钟进行10,000次分频。调整时间的的按键用按键模块的S1 和S2,S1 调节小时,每按下一次,小时增加一个小时,S2 调整分钟,每按下一次,分钟增加一分钟。另外用S8 按键作为系统时钟复位,复位后全部显示00-00-00。-T
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-23
    • 文件大小:7658196
    • 提供者:冯雨娴
  1. shuzizhong

    0下载:
  2. 数字电子钟设计,包括时、分、秒模块,文件中包括使用VHDL语言编写源码以及原理图(时、分、秒模块)(Digital clock source as well as schematic)
  3. 所属分类:其他

    • 发布日期:2017-12-19
    • 文件大小:272384
    • 提供者:欢儿
  1. timer_24

    0下载:
  2. 实现数字钟功能,带有按键调整时间,定时闹钟功能(Digital clock function, with timing, alarm clock function)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-21
    • 文件大小:4291584
    • 提供者:大众
  1. clock

    0下载:
  2. 数字钟可以实现整点响铃,预置数,十二小时24小时切换(Digital clock can achieve the whole point of the bell)
  3. 所属分类:其他

  1. shuzizhong

    0下载:
  2. 基于vhdl语言的多功能数字钟设计,硬件调试成功(Design of multi-function digital clock based on vhdl)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-10
    • 文件大小:199680
    • 提供者:lin林
  1. clock

    0下载:
  2. 用VHDL完成的数字钟设计。可选24h与12h两种时制,运用到按键消抖。(The digital clock is designed with VHDL. Optional 24h and 12h two kinds of time system, apply to the button to shake.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-19
    • 文件大小:20480
    • 提供者:redsoul丶
  1. szz

    0下载:
  2. 数字钟,24小时时制,每隔一分钟报时,每次两秒钟(A digital clock, ring lasts for two seconds per minute)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-19
    • 文件大小:7300096
    • 提供者:张小憨
« 1 2 3 4 56 7 8 9 10 ... 13 »
搜珍网 www.dssz.com